Show all

150 entries « 1 of 3 »

2022

150.

Krishnakumar, Anish; Marculescu, Radu; Ogras, Umit Y

INDENT: Incremental Online Decision Tree Training for Domain-Specific Systems-on-Chip Proceedings Article

In: Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1-9, 2022.

Links | BibTeX | Tags: Embedded Systems, Model Compression & Optimization, Networks, Systems

149.

Krishnakumar, Anish; Ogras, Umit Y; Marculescu, Radu; Kishinevsky, Michael; Mudge, Trevor

Domain-Specific Architectures (DSAs): Research Problems and Promising Approaches Journal Article

In: ACM Transactions on Embedded Computing Systems (TECS), 2022.

Links | BibTeX | Tags: Edge AI, Embedded Systems, Internet of Things, Systems

2021

148.

Yang, Yuedong; Xue, Zihui; Marculescu, Radu

Anytime Depth Estimation with Limited Sensing and Computation Capabilities on Mobile Devices Proceedings Article

In: The Conference on Robot Learning, 2021.

Links | BibTeX | Tags: Dynamic networks, Edge AI, Embedded Systems, Systems

147.

Li, Guihong; Mandal, Sumit K; Ogras, Umit Y; Marculescu, Radu

FLASH: Fast Neural Architecture Search with Hardware Optimization Journal Article

In: ACM Transactions on Embedded Computing Systems, vol. 20, no. 63, pp. 1-26, 2021.

Links | BibTeX | Tags: Edge AI, Embedded Systems, Model Compression & Optimization, Neural Architecture Search

146.

Goksoy, A Alper; Krishnakumar, Anish; Hassan, Md Sahil; Farcas, Allen J; Akoglu, Ali; Marculescu, Radu; Ogras, Umit Y

DAS: Dynamic Adaptive Scheduling for Energy-Efficient Heterogeneous SoCs Journal Article

In: IEEE Embedded Systems Letters, 2021.

Links | BibTeX | Tags: Embedded Systems, Systems

2020

145.

Krishnakumar, A.; Arda, S. E.; Goksoy, A. A.; Mandal, S. K.; Ogras, U. Y.; Sartor, A. L.; Marculescu, R.

Runtime Task Scheduling Using Imitation Learning for Heterogeneous Many-Core Systems Journal Article

In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 39, no. 11, pp. 4064-4077, 2020.

Links | BibTeX | Tags: Embedded Systems, Featured, Systems

144.

Arda, Samet; Anish, NK; Goksoy, Ahmet Alper; Mack, Joshua; Kumbhare, Nirmal; Sartor, Anderson Luiz; Akoglu, Ali; Marculescu, Radu; Ogras, Umit Y

DS3: A system-level domain-specific system-on-chip simulation framework Journal Article

In: IEEE Transactions on Computers, 2020.

Links | BibTeX | Tags: Embedded Systems, SmallNoC, Systems

143.

Sartor, Anderson L; Krishnakumar, Anish; Arda, Samet E; Ogras, Umit Y; Marculescu, Radu

HiLITE: Hierarchical and Lightweight Imitation Learning for Power Management of Embedded SoCs Journal Article

In: IEEE Computer Architecture Letters, vol. 19, no. 1, pp. 63–67, 2020.

Links | BibTeX | Tags: Embedded Systems, Systems

142.

Krishnakumar, Anish; Arda, Samet E; Goksoy, Alper A; Mandal, Sumit K; Ogras, Umit Y; Sartor, Anderson L; Marculescu, Radu

Runtime Task Scheduling using Imitation Learning for Heterogeneous Many-Core Systems Journal Article

In: arXiv preprint arXiv:2007.09361, 2020.

Links | BibTeX | Tags: Embedded Systems, Systems

2019

141.

Arda, Samet E; Anish, NK; Goksoy, Alper A; Mack, Joshua; Kumbhare, Nirmal; Sartor, Anderson L; Akoglu, Ali; Marculescu, Radu; Ogras, Umit Y

Work-in-Progress: A Simulation Framework for Domain-Specific System-on-Chips Proceedings Article

In: 2019 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ ISSS), pp. 1–2, IEEE 2019.

Links | BibTeX | Tags: Embedded Systems, Systems

140.

Sartor, Anderson Luiz; Becker, Pedro Henrique Exenberger; Wong, Stephan; Marculescu, Radu; Beck, Antonio Carlos Schneider

Machine Learning-Based Processor Adaptability Targeting Energy, Performance, and Reliability Proceedings Article

In: 2019 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp. 158–163, IEEE 2019.

Links | BibTeX | Tags: Edge AI, Embedded Systems, Model Compression & Optimization, Systems

2018

139.

Kim, Ryan Gary; Doppa, Janardhan Rao; Pande, Partha Pratim; Marculescu, Diana; Marculescu, Radu

Machine learning and manycore systems design: A serendipitous symbiosis Journal Article

In: Computer, vol. 51, no. 7, pp. 66–77, 2018.

Links | BibTeX | Tags: Embedded Systems, Systems

138.

Joardar, Biresh Kumar; Kim, Ryan Gary; Doppa, Janardhan Rao; Pande, Partha Pratim; Marculescu, Diana; Marculescu, Radu

Learning-based application-agnostic 3D NoC design for heterogeneous manycore systems Journal Article

In: IEEE Transactions on Computers, vol. 68, no. 6, pp. 852–866, 2018.

Links | BibTeX | Tags: Embedded Systems, Systems

137.

Joardar, Biresh Kumar; Doppa, Janardhan Rao; Pande, Partha Pratim; Marculescu, Diana; Marculescu, Radu

Hybrid on-chip communication architectures for heterogeneous manycore systems Proceedings Article

In: 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1–6, IEEE 2018.

Links | BibTeX | Tags: Embedded Systems, Systems

2017

136.

Kim, Ryan Gary; Choi, Wonje; Chen, Zhuo; Doppa, Janardhan Rao; Pande, Partha Pratim; Marculescu, Diana; Marculescu, Radu

Imitation learning for dynamic VFI control in large-scale manycore systems Journal Article

In: IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 25, no. 9, pp. 2458–2471, 2017.

Links | BibTeX | Tags: Embedded Systems, Systems

135.

Joardar, Biresh Kumar; Choi, Wonje; Kim, Ryan Gary; Doppa, Janardhan Rao; Pande, Partha Pratim; Marculescu, Diana; Marculescu, Radu

3D NoC-enabled heterogeneous manycore architectures for accelerating CNN training: performance and thermal trade-offs Proceedings Article

In: 2017 Eleventh IEEE/ACM International Symposium on Networks-on-Chip (NOCS), pp. 1–8, IEEE 2017.

Links | BibTeX | Tags: Embedded Systems, Systems

134.

Choi, Wonje; Duraisamy, Karthi; Kim, Ryan Gary; Doppa, Janardhan Rao; Pande, Partha Pratim; Marculescu, Diana; Marculescu, Radu

On-chip communication network for efficient training of deep convolutional networks on heterogeneous manycore systems Journal Article

In: IEEE Transactions on Computers, vol. 67, no. 5, pp. 672–686, 2017.

Links | BibTeX | Tags: Embedded Systems, Systems

2016

133.

Choi, Wonje; Duraisamy, Karthi; Kim, Ryan Gary; Doppa, Janardhan Rao; Pande, Partha Pratim; Marculescu, Radu; Marculescu, Diana

Hybrid network-on-chip architectures for accelerating deep learning kernels on heterogeneous manycore platforms Proceedings Article

In: Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, pp. 1–10, 2016.

Links | BibTeX | Tags: Embedded Systems, Systems

132.

Hollis, Simon J; Ma, Edward; Marculescu, Radu

nOS: A nano-sized distributed operating system for many-core embedded systems Proceedings Article

In: 2016 IEEE 34th International Conference on Computer Design (ICCD), pp. 177–184, IEEE 2016.

Links | BibTeX | Tags: Embedded Systems, Systems

131.

Ogras, Umit; Marculescu, Radu

Communication-based design for nanoscale SoCs Book Section

In: The VLSI Handbook: Second Edition, pp. 16–1, CRC Press, 2016.

Links | BibTeX | Tags: Embedded Systems, Systems

130.

Qian, Zhiliang; Bogdan, Paul; Tsui, Chi-Ying; Marculescu, Radu

Performance evaluation of noc-based multicore systems: From traffic analysis to noc latency modeling Journal Article

In: ACM Transactions on Design Automation of Electronic Systems (TODAES), vol. 21, no. 3, pp. 1–38, 2016.

Links | BibTeX | Tags: Embedded Systems, Systems

129.

Kim, Ryan Gary; Choi, Wonje; Chen, Zhuo; Pande, Partha Pratim; Marculescu, Diana; Marculescu, Radu

Wireless NoC and dynamic VFI codesign: Energy efficiency without performance penalty Journal Article

In: IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 24, no. 7, pp. 2488–2501, 2016.

Links | BibTeX | Tags: Embedded Systems, Systems

2015

128.

Duraisamy, Karthi; Kim, Ryan Gary; Choi, Wonje; Liu, Guangshuo; Pande, Partha Pratim; Marculescu, Radu; Marculescu, Diana

Energy efficient MapReduce with VFI-enabled multicore platforms Proceedings Article

In: 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 1–6, IEEE 2015.

Links | BibTeX | Tags: Embedded Systems, Systems

127.

Kim, Ryan Gary; Choi, Wonje; Liu, Guangshuo; Mohandesi, Ehsan; Pande, Partha Pratim; Marculescu, Diana; Marculescu, Radu

Wireless NoC for VFI-enabled multicore chip design: Performance evaluation and design trade-offs Journal Article

In: IEEE Transactions on Computers, vol. 65, no. 4, pp. 1323–1336, 2015.

Links | BibTeX | Tags: Embedded Systems, Systems

126.

Qian, Zhi-Liang; Juan, Da-Cheng; Bogdan, Paul; Tsui, Chi-Ying; Marculescu, Diana; Marculescu, Radu

A support vector regression (SVR)-based latency model for network-on-chip (NoC) architectures Journal Article

In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 35, no. 3, pp. 471–484, 2015.

Links | BibTeX | Tags: Embedded Systems, Systems

125.

Blanton, Ronald D; Li, Xin; Mai, Ken; Marculescu, Diana; Marculescu, Radu; Paramesh, Jeyanandh; Schneider, Jeff; Thomas, Donald E

Statistical learning in chip (slic) Proceedings Article

In: 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 664–669, IEEE 2015.

Links | BibTeX | Tags: Embedded Systems, Systems

124.

Pande, Partha Pratim; Kim, Ryan Gary; Choi, Wonje; Chen, Zhuo; Marculescu, Diana; Marculescu, Radu

The (low) power of less wiring: Enabling energy efficiency in many-core platforms through wireless noc Proceedings Article

In: 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 165–169, IEEE 2015.

Links | BibTeX | Tags: Embedded Systems, Systems

2014

123.

Qian, Zhiliang; Juan, Da-Cheng; Bogdan, Paul; Tsui, Chi-Ying; Marculescu, Diana; Marculescu, Radu

A comprehensive and accurate latency model for network-on-chip performance analysis Proceedings Article

In: 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 323–328, IEEE 2014.

Links | BibTeX | Tags: Embedded Systems, Systems

122.

Matsutani, Hiroki; Koibuchi, Michihiro; Fujiwara, Ikki; Kagami, Takahiro; Take, Yasuhiro; Kuroda, Tadahiro; Bogdan, Paul; Marculescu, Radu; Amano, Hideharu

Low-latency wireless 3D NoCs via randomized shortcut chips Proceedings Article

In: 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 1–6, IEEE 2014.

Links | BibTeX | Tags: Embedded Systems, Systems

121.

Kim, Ryan; Liu, Guangshuo; Wettin, Paul; Marculescu, Radu; Marculescu, Diana; Pande, Partha Pratim

Energy-efficient VFI-partitioned multicore design using wireless NoC architectures Proceedings Article

In: Proceedings of the 2014 International Conference on Compilers, Architecture and Synthesis for Embedded Systems, pp. 1–9, 2014.

Links | BibTeX | Tags: Embedded Systems, Systems

120.

Marculescu, Radu; Pande, Partha Pratim; Heo, Deukhyoun; Matsutani, Hiroki

Introduction to the special session on “Interconnect enhances architecture: Evolution of wireless NoC from planar to 3D” Proceedings Article

In: 2014 Eighth IEEE/ACM International Symposium on Networks-on-Chip (NoCS), pp. 174–175, IEEE 2014.

Links | BibTeX | Tags: Embedded Systems, Systems

119.

Xue, Yuankun; Qian, Zhiliang; Wei, Guopeng; Bogdan, Paul; Tsui, Chi-Ying; Marculescu, Radu

An efficient network-on-chip (noc) based multicore platform for hierarchical parallel genetic algorithms Proceedings Article

In: 2014 Eighth IEEE/ACM International Symposium on Networks-on-Chip (NoCS), pp. 17–24, IEEE 2014.

Links | BibTeX | Tags: Embedded Systems, Systems

2013

118.

Ogras, Umit Y; Marculescu, Radu

Modeling, analysis and optimization of network-on-chip communication architectures Miscellaneous

2013.

Links | BibTeX | Tags: Embedded Systems, SmallNoC, Systems

117.

Matsutani, Hiroki; Bogdan, Paul; Marculescu, Radu; Take, Yasuhiro; Sasaki, Daisuke; Zhang, Hao; Koibuchi, Michihiro; Kuroda, Tadahiro; Amano, Hideharu

A case for wireless 3D NoCs for CMPs Proceedings Article

In: 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 23–28, IEEE 2013.

Links | BibTeX | Tags: Embedded Systems, Systems

116.

Elfadel, Ibrahim M; Marculescu, Radu; Atienza, David

Closed-loop control for power and thermal management in multi-core processors: Formal methods and industrial practice Proceedings Article

In: 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 1879–1881, IEEE 2013.

Links | BibTeX | Tags: Embedded Systems, Systems

115.

Qian, Zhiliang; Juan, Da-Cheng; Bogdan, Paul; Tsui, Chi-Ying; Marculescu, Diana; Marculescu, Radu

Svr-noc: A performance analysis tool for network-on-chips using learning-based support vector regression model Proceedings Article

In: 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 354–357, IEEE 2013.

Links | BibTeX | Tags: Embedded Systems, Systems

114.

Garg, Siddharth; Marculescu, Diana; Marculescu, Radu

Fundamental limits on run-time power management algorithms for MPSoCs Book Section

In: Design Technologies for Green and Sustainable Computing Systems, pp. 1–21, Springer, New York, NY, 2013.

Links | BibTeX | Tags: Embedded Systems, Systems

113.

Bogdan, Paul; Marculescu, Radu; Jain, Siddharth

Dynamic power management for multidomain system-on-chip platforms: An optimal control approach Journal Article

In: ACM Transactions on Design Automation of Electronic Systems (TODAES), vol. 18, no. 4, pp. 1–20, 2013.

Links | BibTeX | Tags: Embedded Systems, Systems

112.

Qian, Zhiliang; Bogdan, Paul; Tsui, Chi-Ying; Marculescu, Radu

Performance evaluation of multicore systems: from traffic analysis to latency predictions (embedded tutorial) Proceedings Article

In: 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 82–84, IEEE 2013.

Links | BibTeX | Tags: Embedded Systems, Systems

2012

111.

Ying, Haoyuan; Heid, Kris; Hollstein, Thomas; Hofmann, Klaus

A genetic algorithm based optimization method for low vertical link density 3-dimensional networks-on-chip many core systems Proceedings Article

In: NORCHIP 2012, pp. 1–4, IEEE 2012.

Links | BibTeX | Tags: Embedded Systems, Systems

110.

Bogdan, Paul; Marculescu, Radu; Jain, Siddharth; Gavila, Rafael Tornero

An optimal control approach to power management for multi-voltage and frequency islands multiprocessor platforms under highly variable workloads Proceedings Article

In: 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip, pp. 35–42, IEEE 2012.

Links | BibTeX | Tags: Embedded Systems, Systems

109.

Garg, Siddharth; Marculescu, Diana; Marculescu, Radu

Technology-driven limits on runtime power management algorithms for multiprocessor systems-on-chip Journal Article

In: ACM Journal on Emerging Technologies in Computing Systems (JETC), vol. 8, no. 4, pp. 1–17, 2012.

Links | BibTeX | Tags: Embedded Systems, Systems

108.

David, Radu; Bogdan, Paul; Marculescu, Radu

Dynamic power management for multicores: Case study using the intel SCC Proceedings Article

In: 2012 IEEE/IFIP 20th International Conference on VLSI and System-on-Chip (VLSI-SoC), pp. 147–152, IEEE 2012.

Links | BibTeX | Tags: Embedded Systems, Systems

107.

Qian, Zhiliang; Bogdan, Paul; Wei, Guopeng; Tsui, Chi-Ying; Marculescu, Radu

A traffic-aware adaptive routing algorithm on a highly reconfigurable network-on-chip architecture Proceedings Article

In: Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, pp. 161–170, 2012.

Links | BibTeX | Tags: Embedded Systems, Systems

106.

Hollis, Simon J; Jackson, Chris; Bogdan, Paul; Marculescu, Radu

Exploiting emergence in on-chip interconnects Journal Article

In: IEEE Transactions on Computers, vol. 63, no. 3, pp. 570–582, 2012.

Links | BibTeX | Tags: Embedded Systems, Systems

2011

105.

Bogdan, Paul; Marculescu, Radu

Towards a science of cyber-physical systems design Proceedings Article

In: 2011 IEEE/ACM second international conference on cyber-physical systems, pp. 99–108, IEEE 2011.

Links | BibTeX | Tags: Embedded Systems, Systems

104.

Chou, Chen-Ling; Marculescu, Radu

FARM: Fault-aware resource management in NoC-based multiprocessor platforms Proceedings Article

In: 2011 Design, Automation & Test in Europe, pp. 1–6, IEEE 2011.

Links | BibTeX | Tags: Embedded Systems, Systems

103.

David, Radu; Bogdan, Paul; Marculescu, Radu; Ogras, Umit

Dynamic power management of voltage-frequency island partitioned networks-on-chip using intel's single-chip cloud computer Proceedings Article

In: Proceedings of the Fifth ACM/IEEE International Symposium, pp. 257–258, IEEE 2011.

Links | BibTeX | Tags: Embedded Systems, Systems

102.

Bogdan, Paul; Marculescu, Radu

Non-stationary traffic analysis and its implications on multicore platform design Journal Article

In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 30, no. 4, pp. 508–519, 2011.

Links | BibTeX | Tags: Embedded Systems, Systems

101.

Wei, Guopeng; Bogdan, Paul; Marculescu, Radu

A software framework for trace analysis targeting multicore platforms design Proceedings Article

In: Proceedings of the Fifth ACM/IEEE International Symposium, pp. 259–260, IEEE 2011.

Links | BibTeX | Tags: Embedded Systems, Systems

150 entries « 1 of 3 »